首页 专利交易 科技果 科技人才 科技服务 国际服务 商标交易 会员权益 IP管家助手 需求市场 关于龙图腾
 /  免费注册
到顶部 到底部
清空 搜索

在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(VIA) 

买专利卖专利找龙图腾,真高效! 查专利查商标用IPTOP,全免费!专利年费监控用IP管家,真方便!

申请/专利权人:高通股份有限公司

摘要:公开了在用于集成电路IC的互连结构中形成自对准垂直互连通道via。为了减少或避免via与下垫互连金属线的未对准,使在互连结构中的制造的via与下垫互连金属线自对准。就此而言,在介电层中形成下垫金属线。在层间电介质的顶表面下方在下垫金属线中形成凹槽。停止层被布置在层间电介质上方并位于下垫金属线的凹槽内。该停止层允许在下垫金属线的凹槽内向下形成例如,蚀刻via通道,以使via通道与下垫金属线自对准。随后在延伸至凹槽中的via通道中沉积导电材料,以形成互连至下垫金属线的自对准via。

主权项:1.一种用于集成电路IC的互连结构,包括:下垫金属层,包括:被布置在具有顶表面的介电层中的多条下垫金属线,所述多条下垫金属线包括在所述多条下垫金属线之中的下垫金属线和在所述多条下垫金属线之中与所述下垫金属线相邻的相邻下垫金属线;具有凹槽宽度的凹槽区域,其被布置在所述介电层中在所述介电层的所述顶表面下方向下凹槽距离至所述多条下垫金属线之中的所述下垫金属线;相邻凹槽区域,其被布置在所述介电层中在所述介电层的所述顶表面下方向下所述凹槽距离至所述相邻下垫金属线;以及被布置在所述相邻凹槽区域中的蚀刻停止层;被布置在所述下垫金属层上方的上覆金属层,所述上覆金属层包括多条上覆金属线;第二介电层,其被布置在所述下垫金属层和所述上覆金属层之间;以及具有垂直互连通道开口宽度的自对准垂直互连通道,其被布置在所述下垫金属层和所述上覆金属层之间通过所述第二介电层且将所述下垫金属线与所述多条上覆金属线之中的上覆金属线电互连,其中所述自对准垂直互连通道包括:所述自对准垂直互连通道的第一垂直互连通道部分,其延伸至所述下垫金属线的所述凹槽区域中以与所述下垫金属线自对准;以及所述自对准垂直互连通道的第二垂直互连通道部分,其在所述凹槽区域之外延伸至所述垂直互连通道开口宽度。

全文数据:在用于集成电路(丨C的互连结构中形成自对准垂直互连通道VIA[0001]优先权申请[0002]本申请要求于2016年3月21日提交并题为“FORMINGSELF-ALIGNEDVERTICALINTERCONNECTACCESSESVIAsININTERCONNECTSTRUCTURESFORINTEGRATEDCIRCUITSICs在用于集成电路IC的互连结构中形成自对准垂直互连通道VIA”的美国临时专利申请SN.62310,951的优先权,其内容通过引用被全部纳入于此。[0003]本申请还要求于2016年8月5日提交并题为“FORMINGSELF-ALIGNEDVERTICALINTERCONNECTACCESSESVIAsININTERCONNECTSTRUCTURESFORINTEGRATEDCIRCUITSICs在用于集成电路(IC的互连结构中形成自对准垂直互连通道VIA”的美国专利申请SN.15229,535的优先权,其内容通过引用被全部纳入于此。[0004]背景[0005]I.公开领域[0006]本公开的技术一般涉及在集成电路(10中形成的金属互连结构,尤其涉及在金属互连结构中形成垂直互连通道via以提供金属互连结构中的金属线之间的互连。N.背景技术[0007]集成电路(1C的当前半导体制造可包括前段制程FE0L、中段制程M0L和或后段制程BE0L工艺。FE0L工艺可包括晶片制备、隔离、阱形成、栅极图案化、间隔物、扩展、以及源极漏极注入、硅化物形成等等。M0L和或BE0L工艺可包括栅极触点形成和1C的不同层之间的互连。BE0L工艺还包括用于将在FE0L和M0L工艺期间创建的半导体器件进行互连的一系列晶片处理步骤。半导体制造中的常规BE0L工艺采用铜Cu互连和低介电常数低K层间电介质(ILD来减少信号延迟、串扰和功率耗散。这些Cu互连可以用双镶嵌工艺来制造,并且包括以金属线结构形式提供的垂直互连通道via和沟槽结构。金属线结构在给定的互连级内分配信号,也被称为金属级或金属层。via是在相邻金属层之间传送信号的互连。[0008]就此而言,图1A解说了可在半导体管芯102中提供的互连结构100的顶视图。图1B解说了图1A中的互连结构线的横截面侧视图。互连结构1〇〇采用viaVX以在相应上金属层MLX+1和下金属层MLX中的相邻的上金属线MX+1和下金属线MX之间提供互连。符号“X+1”表示在该示例中,上金属层MLX+1和上金属线此+1被直接布置在下金属层MLx和下金属线Mx的上方并与之相邻。可以使用双镶嵌工艺制造viaVx,其中首先沉积并使用光刻图案化ILD104和上金属层MLX+1。由于蚀刻和金属沉积,创建上金属线MX+1和viaVX。因此,作为制造工艺的一部分,viaVx与互连结构100的上金属层MLx+i对准,如图1A和1B所示。viaVx与下金属线Mx偏离,这增加了viaVx的via电阻。viaVx与下金属线Mx偏离还使得viaVx位于与相邻下金属线MXN相距via金属短路距离S。然而,下金属线MX、MXN之间的ILD104提供下金属线Mxw和viaVx之间的隔离,以避免下金属线Mx、MxN之间的电短路卿,via金属短路)^iaVx与下金属线Mx的对准将基于制造工艺的变化而变化。[0009]如果图1A和1B中的半导体管芯102中的金属节距P的尺寸缩小,则互连结构100的金属节距P也将因此减小。然而,随着互连结构100的金属节距P减小,viaVx与下金属线Mx的未对准可能增加,从而导致增加的viaVx电阻。增加的viaVx电阻导致金属线Mx、Mx+l之间增加的信号延迟。进一步地,减小互连结构1〇〇的金属节距P会进一步减小viaVx与相邻金属线Mxn之间的via金属短路距离S。较短的via金属短路距离S可能导致ILD104发生击穿,在下金属线Mx、Mxn之间产生电短路。这对于图1A和1B中的互连结构100的亚30纳米nm金属节距P可能尤其如此。然而,期望并且需要继续缩小半导体器件中的器件大小,同时仍然提供具有较低互连电阻以及via与金属线之间的足够隔离以避免via金属短路的互连结构。[0010]公开概述[0011]本公开的各方面包括在用于集成电路(1C的互连结构中形成自对准垂直互连通道via。这些互连结构包括被布置在层中的金属线,这些层通过via互连以提供与在半导体管芯中下垫有源半导体区域中的半导体器件的互连。与下垫互连金属线的via未对准影响via电阻,从而导致via中的信号延迟。via未对准还可导致至相邻金属线的via金属短路。为了减少或避免提供与下垫互连金属线的互连的via的未对准,本文所公开的方面提供了互连结构中所制造的via,以与下垫互连金属线自对准。就此而言,在本文所公开的某些方面中,下垫金属线被形成在层间电介质(ILD层中。在层间介电层的顶表面下方在下垫金属线中形成凹槽。停止层被布置在层间介电层上方并位于下垫金属线的凹槽内。停止层允许在下垫金属线的凹槽内形成例如,向下蚀刻via通道,以使via通道与下垫金属线自对准。换言之,凹槽允许via通道被布置并与下垫金属线对准,以允许via在制造期间与下塾金属线自对准。随后在延伸至凹槽中的via通道中沉积导电材料,以形成互连至下垫金属线的自对准via。[0012]在另一方面,可期望形成具有比下垫金属层中的凹槽更大宽度的via通道。例如,自对准via可以形成在via开口中,使得自对准via的第一via部分延伸至下垫金属线的相应凹槽区域中,以与下塾金属线自对准,而自对准via的第二via部分延伸至凹槽区域之外。这允许放宽via着陆工艺余量,同时提供via通道与凹槽完全交叠,以使via与下垫金属线完全自对准。进一步地,在另一方面,凹槽也被形成在与via相邻的(诸)下垫金属线中,以增加诸)相邻下垫金属线和via之间的距离,以降低via金属短路的风险。例如,如果via通道具有比其在下垫金属层中的凹槽更大的宽度,则凹槽上方的via的宽度将向相邻的(诸)下垫金属线延伸超过凹槽的宽度。通过在相邻的诸下垫金属线形成凹槽,via和诸相邻下垫金属线之间的距离被增大。这可允许互连结构的金属线节距被进一步减小,同时仍旧避免via金属短路。[0013]就此而言,在一方面,提供了一种用于1C的互连结构。该互连结构包括下垫金属层。该下垫金属层包括被布置在具有顶表面的介电层中的多条下垫金属线。该下垫金属层还包括被布置在介电层中在介电层的顶表面下方向下凹槽距离至多条下垫金属线之中的下垫金属线的具有凹槽宽度的凹槽区域。互连结构还包括被布置在下垫金属层上方的上覆金属层,该上覆金属层包括多条上覆金属线。互连结构还包括被布置在下垫金属层和上覆金属层之间且将下垫金属线与多条上覆金属线之中的上覆金属线电互连的具有via开口宽度的自对准via。自对准via包括自对准via的第一via部分,其延伸至下垫金属线的相应凹槽区域中以与下垫金属线自对准。自对准via还包括自对准via的第二Via部分,其在凹槽区域之外延伸至via开口宽度。[0014]在另一方面,提供了一种用于1C的互连结构。该互连结构包括用于在1C中提供下垫电互连的装置。该互连结构包括用于在1C中提供上覆电互连的装置。该互连结构还包括用于介电地分离用于提供下垫电互连的装置和用于提供上覆电互连的装置的装置。该互连结构还包括用于在用于提供下垫电互连的装置中提供具有凹槽宽度的凹槽区域的装置。该互连结构还包括用于提供第一via部分和第二via部分的自对准装置,该第一“部分延伸至用于在用于提供下垫电互连的装置和用于提供上覆电互连的装置之间提供凹槽区域的装置中,而该第二via部分在用于提供凹槽区域的装置之外延伸至大于凹槽宽度的via开口j||、广见度。[0015]在另一方面,提供了一种在用于1C的互连结构中形成自对准via的方法。该方法包括在具有顶表面的第一介电层中形成具有金属线节距的多条下垫金属线。该方法还包括在第一介电层的顶表面下方移除多条下垫金属线之中的下垫金属线的具有凹槽距离的一部分,以在第一介电层中形成具有与下垫金属线相邻的底表面的具有凹槽宽度的凹槽区域。该方法还包括在第一介电层的顶表面上和凹槽区域的底表面上布置停止层。该方法还包括在停止层上方和凹槽区域内布置第二介电层。该方法还包括在第二介电层中向下至凹槽区域的底表面以及至停止层形成与多条下垫金属线之中的下垫金属线对准的via开口,Via开口所具有的via开口宽度大于凹槽宽度。该方法还包括用导电材料填充via开口和沟槽开口向下至凹槽区域的底表面,以在沟槽开口和via开口中形成与下垫金属线自对准的自对准via,使得自对准via的第一via部分延伸至下垫金属线的相应凹槽区域中以与下垫金属线自对准,并且自对准via的第二via部分在凹槽区域之外延伸至Via开口宽度。[0016]附图简述[0017]图1A和1B分别是在用于集成电路(1C的半导体管芯的互连结构中将下垫金属线互连到上覆金属线的未对准垂直互连通道via的顶视图和横截面侧视图;[0018]图2A和2B分别是互连结构的顶视图和横截面侧视图,该互连结构包括形成在半导体管芯的第一介电层中的凹槽区域内的自对准via,以与下垫金属线对准并互连,并且将下垫金属线与上覆金属线互连;[0019]图从和38是解说制造与图2A和2B中的互连结构的下垫金属线对准的自对准via,以将下垫金属线互连到上覆金属线的示例性工艺的流程图;[0020]图4A和4B分别是在用于1C中的互连结构的半导体管芯的第一介电层中以期望的金属线节距图案化金属线的沟槽的制造工艺的顶视图和截面侧视图;[0021]图5A和5B分别是填充图案化的沟槽以在图4A和4B中半导体管芯的第一介电层中形成下垫金属线的制造工艺的顶视图和横截面侧视图;[0022]图6A和6B分别是在图5A和5B中的半导体管芯中的下垫金属线中形成凹槽区域的制造工艺的顶视图和横截面侧视图;[0023]图7A和7B分别是在图6A和6B中的半导体管芯中第一介电层的顶表面上和下垫金属线中的凹槽区域内布置停止层的制造工艺的顶视图和横截面侧视图;100241图從和洲分别是在图7A和7B中将第二介电层布置在停止层上和将硬掩模布置在第二介电层上的制造工艺的顶视图和横截面侧视图;[0025]图9A和%分别是可任选地在图從和8B中的硬掩模上布置底部抗反射涂层BARC,并且布置光致抗蚀剂层,之后在光致抗蚀剂层中via开口的自对准via图案的制造工艺的顶视图和横截面侧视图;[0026]图l〇A和10B分别是在图9A和册中的光致抗蚀剂层中向下蚀亥ljvia开口至凹槽区域的底表面的制造工艺的顶视图和横截面侧视图;[0027]图11A和11B分别是在图10A和10B中半导体管芯中蚀刻停止层以及移除光致抗蚀剂层和BARC层,并形成与via开口对准的沟槽开口的制造工艺的顶视图和横截面侧视图;[0028]图12A和12B分别是在图11A和11B中的半导体管芯中在via开口和沟槽开口中布置导电材料以形成自对准via的制造工艺的顶视图和横截面侧视图,其中下垫金属线和上覆金属线与将上覆金属线与下塾金属线互连的自对准via对准;以及[0029]图13是可包括1C的示例性基于处理器的系统的框图,1C包括互连结构,该互连结构包括被布置在多个金属层中的金属线以及包括与下垫金属线对准并将下垫金属线互连到上覆金属线以在1C中形成互连包括但不限于图2A和2B中的互连结构)的自对准Via。[0030]详细描述[0031]现在参照附图,描述了本公开的若干不例性方面。措辞“示例性”在本文中用于表示“用作示例、实例、或解说”。本文中描述为“示例性”的任何方面不必然被解释为优于或胜过其他方面。[0032]本公开的各方面包括在用于集成电路(1C的互连结构中形成自对准垂直互连通道via。这些互连结构包括被布置在层中的金属线,这些层通过via互连以提供与在半导体管芯中下垫有源半导体区域中的半导体器件的互连。与下垫互连金属线的via未对准影响via电阻,从而导致via中的信号延迟。via未对准还可导致至相邻金属线的via金属短路。为了减少或避免提供与下垫互连金属线的互连的via的未对准,本文所公开的方面提供了互连结构中所制造的via,以与下垫互连金属线自对准。就此而言,在本文所公开的某些方面中,下垫金属线被形成在层间电介质(ILD层中。在层间介电层的顶表面下方在下垫金属线中形成凹槽。停止层被布置在层间介电层上方并位于下塾金属线的凹槽内。停止层允许在下垫金属线的凹槽内形成例如,向下蚀刻via通道,以使via通道与下垫金属线自对准。换言之,凹槽允许via通道被布置并与下垫金属线对准,以允许Via在制造期间与下垫金属线自对准。随后在延伸至凹槽中的via通道中沉积导电材料,以形成互连至下垫金属线的自对准via。[0033]在另一方面,可期望形成具有比下垫金属层中的凹槽更大宽度的via通道。例如,自对准via可以形成在via开口中,使得自对准via的第一via部分延伸至下垫金属线的相应凹槽区域中,以与下垫金属线自对准,而自对准via的第二via部分延伸至凹槽区域之外。这允许放宽via着陆工艺余量,同时提供via通道与凹槽完全交叠,以使Via与下垫金属线完全自对准。进一步地,在另一方面,凹槽也被形成在与via相邻的(诸)下垫金属线中,以增加诸相邻下垫金属线和via之间的距离,以降低via金属短路的风险。例如,如果Via通道具有比其在下垫金属层中的凹槽更大的宽度,则凹槽上方的via的宽度将向相邻的(诸)下垫金属线延伸超过凹槽的宽度。通过在相邻的诸下垫金属线形成凹槽,via和诸相邻下垫金属线之间的距离被增大。这可允许互连结构的金属线节距被进一步减小,同时仍旧避免via金属短路。[0034]就此而言,图2A和2B分别是包括用于为1C提供互连的自对准via的示例性互连结构200的顶视图和横截面侧视图。该互连结构200在可被包括在IC204中的半导体管芯202图2A中示出)中被提供。该互连结构200通常在基板206和作为前端制程FE0L工艺制造的有源半导体区域上被提供。作为示例,基板206可以是块状基板或绝缘体上覆半导体SOI基板。作为非限制性示例,基板206可由半导体材料诸如,桂Si或桂锗SiGe形成。[0035]互连结构200包括由自对准viaVx2121、212⑵互连的一系列下垫金属线Mx2081、2082“下垫金属线2081、208⑵”)和上覆金属线Mx+i2101、2102“上覆金属线2101、2102”)wia2121、2122是提供互连结构200的层之间的电连接的电互连结构。作为非限制性示例,via2121、2122可以是穿硅viaTSV。下垫金属线Mx2081、2082和上覆金属线MX+12101、210⑵是在互连结构200中提供电互连以提供在1C204中元件耦合的装置。提供下垫金属线2081和相邻下垫金属线2082以及上覆金属线2101、2102彼此具有金属节距P。在互连结构200中,金属节距P可以小于五十六56纳米rnn,作为示例诸如二十八28mn。作为缩放至1C204中下一代节点的技术的结果,金属节距P可以被减小。在该示例中,在上垫金属线2101、2102下方提供下垫金属线2081和相邻下垫金属线2082。通过“上覆”,其意味着上覆金属线2101、2102被布置在包括下垫金属线2081和相邻下垫金属线208⑵的金属层上方的金属层中。这在图2B中更详细地示出,图2B是图2A中的沿着沿上覆金属线2101的A2-A2线的互连结构200的横截面侧视图。如图2B所示,下垫金属线2081和相邻下垫金属线2082在第一下垫金属层MLx中被提供。上覆金属线2101、2102在被布置在第一下垫金属层MLx上方的第二上覆金属层MLx+i中被提供。注意,尽管图2A和2B中的互连结构200仅示出了两2个金属层MLx、MLX+1,但是互连结构200可具有包含被布置在上覆金属层MLx+i上方和或在下垫金属层MLx下方的金属线的附加金属层。[0036]在互连结构200中提供自对准via2121、2122,以将下垫金属线2081和相邻下垫金属线2082与相应的上覆金属线2101、2102互连。如下文将更详细讨论的,制造互连结构200,使得自对准via2121、2122与它们各自的下垫金属线2081、208⑵和上覆金属线2101、210⑵都自对准。通过自对准via2121、2122与它们各自的上覆金属线2101、210¾对准,互连结构200的电阻被减小以减少信号延迟,这与提供与它们各自的上覆金属线2101、2102未对准的via相反。然而,如下文更详细地讨论的,自对准via2121、2122还与它们各自的下垫金属线2081、2082自对准。通过还将自对准via2121、2122与它们各自的下垫金属线2081、2082自对准,互连结构200的电阻被减小以减少信号延迟,这与提供与它们各自的下垫金属线2081、2082未对准的via相反。此外,通过将自对准via2121、2122与它们各自的下垫金属线2081、208⑵自对准,减少了未对准问题导致自对准via2121、2122被制造得与相邻下垫金属线2081、2082的距离足够短以导致via金属短路的风险。[0037]图2B中的互连结构200的横截面图解说了关于如何将自对准via2121制造成与其相应的下垫金属线2081和上覆金属线2101自对准的更多细节。对图2B中的自对准via2121的讨论也适用于自对准via2122。为了将自对准via2121与下垫金属线208⑴自对准,在下垫金属层MLx中在相应的第一介电层2181中的凹槽区域2161内向下形成自对准via2121。作为非限制性示例,该示例中的第一介电层2181是层间电介质(ILD层,其是例如具有低于约3_〇的介电常数k值)的低k介电层。如下面将更详细描述的,凹槽区域2161先前通过在第一介电层2181的顶表面220下方移除下垫金属线2081的具有凹槽距离〇1的一部分而形成。随后将宽度为仏的停止层222布置在第一介电层2181的顶表面220上。停止层222还被布置在凹槽区域2161的底表面2261上的凹槽区域2161中,以在凹槽区域2161中提供与下垫金属线208相邻的停止。例如,停止层222可以是在凹槽区域2161中提供蚀刻停止的蚀刻停止层。第二介电层2182被布置在上覆金属层MLx+i中的停止层222上方。随后将via开口宽度%的Via开口224⑴向下移除例如,蚀刻)通过第二介电层2182至停止层222并向下至凹槽区域2161的底表面2261以将via开口2241自对准至下垫金属线2081。以此方式,随后可将导电材料布置在与下垫金属线2081对准的via开口2241中,以提供与下垫金属线2081自对准并互连的自对准via2121〇[0038]继续参照图2B,自对准via2121还与上覆金属线2101自对准。如下文将更详细讨论的,通过在via开口2241上方的上覆金属线2101中提供沟槽2281以使得沟槽2281与via开口2241对准来形成自对准via2121与上覆金属线2101的对准。此后,自对准via212⑴由被布置在沟槽228⑴和via开口2241中的导电材料形成,使得自对准via2121也将与上覆金属线2101自对准。[0039]因此,在via开口224⑴中形成的与下垫金属线2081和上覆金属线210⑴两者对准的自对准via2121也与下垫金属线2081和上覆金属线2101两者自对准并互连。提供能够与下垫金属线2081自对准的自对准via2121作为其制造工艺的一部分可能变得越来越重要,以避免via电阻的不可接受的增加和由此导致的信号延迟,以及via金属短路的风险。提供凹槽区域2161以对准via开口2241从而自对准自对准via2121允许自对准via2121与下垫金属线2〇81的更精确地控制和对准。via与金属线的对准对于小金属节距P可能特别关键,例如诸如五5rim及以下,其中互连金属线被放置得更靠近相邻金属线,在该情况下viaVx的未对准可导致via金属短路。[0040]下垫金属线2081和相邻下垫金属线2082以及上覆金属线2101、210⑵可以由任何期望的导电材料制成,包括但不限于铜Cu、钨W、钴Co、钌Ru、铝A1或其混合物或合金。然而,使用非铜Cu金属例如,诸如钴Co、钌Ru和铝A1可能是有利的,因为它们是更容易回蚀的材料,并且能够更好地填充高纵横比的via开口2241和沟槽2281作为制造工艺的一部分,该制造工艺形成自对准via2121、2122和它们各自的上覆金属线2101、210⑵与自对准via2121、2122互连并对准的一部分。[0041]此外,如图2B所示,在该示例中,via开口2241的via开口宽度W2可以形成为大于凹槽区域2161、216⑵的凹槽宽度W3。以此方式,可提供自对准via212⑴以延伸超过凹槽区域2161的凹槽宽度W3且延伸至凹槽区域2161的凹槽宽度W3之外。自对准via2121的第一via部分230⑴延伸至下垫金属线208⑴的凹槽区域2161中,以将下垫金属线2081电互连至上覆金属线2101。自对准via2121的第二via部分2302延伸至凹槽区域2161之外。该结构可提供自对准via2121与下垫金属线2〇81的改良的对准,因为对于给定制造工艺中可能出现的变化情况下将自对准via2121完全布置在凹槽区域2161中以提供与下垫金属线2081的顶表面的接触提供了更大的容限。因此,可放宽将自对准via2121布置在凹槽区域2161中的制造工艺。然而,将via开口2241延伸至超过凹槽区域2161的凹槽宽度W3的via开口宽度%可使形成凹槽区域2161中的自对准via2121置于更接近相邻下垫金属线2082。这可能会冒着在自对准via2121和相邻下垫金属线2082之间创生via金属短路的风险。[0042]就此而言,如图2B中进一步所示,在该示例中,相邻凹槽区域2162形成在与下垫金属线2〇81相邻的相邻下垫金属线2082中,以减少在自对准via2121和相邻下垫金属线2082之间via金属短路的风险。如图2B所示,在相邻下垫金属线2082中提供相邻凹槽区域2162在自对准via2121和相邻下垫金属线2082之间提供比在相邻下垫金属线2082中没有形成相邻凹槽区域2162的情况更大的距离D2。例如,在该示例中相邻凹槽区域2162的凹槽宽度Ws与凹槽区域216⑴的凹槽宽度W3相同,但这并不要求这样。这对于缩小互连结构(诸如互连结构200中的金属节距P而言可能特别重要,例如超越五5nm技术节点,其中互连金属线位于更靠近相邻金属线,在该情况下via的轻微未对准会导致via金属短路。这可允许互连结构200的金属节距被进一步减小,而没有Via金属短路的风险。自对准via2121与相邻下垫金属线2082之间的横向距离S1不再是自对准via2121与相邻下垫金属线208⑵之间对于via金属短路的控制距离。在相邻下垫金属线2082中提供相邻凹槽区域2162还可允许在制造工艺期间放宽via开口2241的着陆对准余量。图3A和3B描述了制造互连结构200和自对准via2121的示例性制造工艺300,该自对准via2121与在图2A和2B中的互连结构200的相应的下垫金属线2081和上覆金属线2101之间自对准并互连。图4A-12B中分别解说了图3A和3B中的制造工艺300中的工艺步骤。图4A-12B将被参考作为如下所述的图3A和3B中的制造工艺300中的示例性制造步骤。[0043]就此而言,制造图2A和2B中的互连结构200的第一步骤是在具有顶表面220的第一介电层2181中形成具有金属线节距P的多条下垫金属线2〇81和相邻下垫金属线2082图3A中的框3〇2。形成多条下垫金属线2〇S1、2〇8⑵的第一示例性步骤是在用于形成下垫金属线20S1和相邻下垫金属线2〇8⑵的第一介电层2181中形成沟槽4021、4022的图案化400,如图4A和4B所示。图4A是用于解说了被布置在基板206上的第一介电层2181的互连结构200的半导体管芯4061的顶视图。在半导体管芯4061的第一介电层2181中以用于形成下垫金属线20S1和相邻下垫金属线2082的金属节距P形成沟槽4021、4022的图案化400用于。图4B是以用于形成下垫金属线2081和相邻下垫金属线2082的金属节距P在第一介电层2181中形成的沟槽4021、402⑵的图案化400的沿着图4A中的线AfA4的横截面侧视图。例如,在第一介电层2181中可用自对准四重图案化SAQP工艺形成沟槽4021、402⑵的图案化400。作为另一示例,也可用极紫外UVEUV光刻单次曝光形成多个沟槽4021、4022。^044]在图4A和4B中的半导体管芯4061中形成多条下垫金属线2081、2082的下一不例性制造步骤是在相应的沟槽4〇21、4022中形成下垫金属线2081和相邻下垫金属线2〇82图M中的框30¾。这在图5A和5B中示出。图5A是图4A和4B中的半导体管芯4061的下一阶段半导体管芯4〇6¾的顶视图,其中具有金属节距p的沟槽4〇21、402⑵填充有导电材料408以在被布置在互连结构200的基板206上的第一介电层2181中形成下垫金属线20S⑴和相邻下垫金属线2〇8⑵。图5B是在形成于第一介电层218⑴中沟槽402⑴、4022中形成的导电材料408的下垫金属线2081和相邻下垫金属线2082的沿着图5A中的线As-A5的横截面侧视图。例如,形成在沟槽4〇21、4〇22中的下垫金属线2081和相邻下垫金属线208¾可用双镶嵌填充工艺形成。下垫金属线2〇8q和相邻下垫金属线2〇82可以由任何期望的导电材料408制成,包括但不限于铜cu、钨⑼、钴c〇、钌RU、铝A1或其混合物或合金。然而,如上所述,使用非铜Cu金属作为示例,诸如,钴Co、钌Ru和铝A1可以是有利的,因为它们是更容易回蚀的材料,并且能够更好地填充高纵横比的via开口2241和沟槽2281。导电材料408的超过第一介电层2181的顶表面220残留的部分可以被向下抛光至顶表面22〇,诸如通过化学机械抛光或平坦化CMP工艺。[0045]图6A是互连结构2〇0的下一阶段半导体管芯4063的顶视图,以解说在图5A和5B中的半导体管芯4062中的下垫金属线2081和相邻下垫金属线2082中形成凹槽区域216⑴和相邻凹槽区域216⑵的制造工艺步骤(图3A中的方框304。图6B是沿着图6A中的横截面As-A6线的下一阶段半导体管芯4〇6¾的横截面侧视图。通过在第一介电层2181的顶表面220下方移除下垫金属线2〇s1、2〇82的具有凹槽距离〇:的一部分来形成凹槽区域2161和相邻凹槽区域2162。例如,可采用蚀刻工艺来在第一介电层2181的顶表面22〇下方移除下垫金属线2〇81、2〇8⑵的具有凹槽距离〇:的一部分。凹槽区域2161以及相邻凹槽区域2162被布置在相应的下垫金属线2081、2082中凹槽距离〇:处,以形成与残留的下垫金属线2081、208⑵的顶表面相邻或由残留的下垫金属线2081、2082的顶表面形成的相应的底表面2261、2262。如上所述,在下垫金属线2081和相邻下垫金属线2082中形成凹槽区域2161和相邻凹槽区域216⑵允许将相应的4£!开口2241和相邻via开口2242见图2B向下蚀刻至凹槽区域2161和相邻凹槽区域2162中,以将via开口2241和相邻via开口2242与相应的下垫金属线2081、2082对准。如下文将讨论的,这允许自对准via212⑴、2122被形成在via开口224⑴和相邻via开口2242中,并因此与相应的下垫金属线2081、208⑵自对准。[0046]在图6A和册的示例中,作为非限制性示例,凹槽区域2161和相邻凹槽区域2162形成距离口:,该距离口:是下垫金属线2081和相邻下垫金属线2〇82的金属节距P的至少大约二分之一(12。如上文关于图2B所讨论的,在下垫金属线2081、2082中提供凹槽区域2161和相邻凹槽区域2丨62可在相应的自对准via2121、2122和相邻下垫金属线2〇82、2〇81之间提供比在相邻下垫金属线2081、2〇82中没有创建凹槽区域2161、2162的情况更大的距尚。这可允许互连结构2〇〇的金属节距P进一步减小,而没有在稍后形成的自对准via2121、2122和相应的相邻下垫金属线2081、2082之间的via金属短路风险。[0047]为了在稍后的制造工艺步骤期间提供稍后向下蚀刻至第一介电层2181和相应的凹槽区域2161、2162的底表面2261、2262的via开口2241、2242图2B,布置停止层222,如图7A和7B中所不。图7A是互连结构200的下一阶段半导体管芯4064的顶视图,其解说了将停止层222布置在第一介电层2181的顶表面220上并布置在相应的凹槽区域2ie1、216⑵的底表面22e1、2%⑵上的下一制造工艺步骤图⑽中的框306。例如,可以沉积工艺将停止层2M沉积在第一介电层2181的顶表面22〇上并且沉积至相应凹槽区域2ie1、2ie2的底表面2况(1、226⑵上。图7B是下一阶段半导体管芯406⑷的沿着A?-A?线的横截面侧视图。停止层2M也可被布置在凹槽区域2161和相邻凹槽区域2162的侧面上。停止层222是宽度为1的薄层。作为示例,停止层222的宽度仏可以是两2nm或更小。停止层222可以由半导体材料例如,诸如氮化铝A1N形成。[0048]接者,在图3A和3B中的示例性制造工艺300中,第二介电层218⑵被布置在第一介电层2181上方和停止层222上方(图3A中的框3〇8。这在图8A和8B中的下一阶段半导体管芯4065中示出。图8A是在图7A和7B中的半导体管芯4064上执行附加制造步骤之后的互连结构200的下一阶段半导体管芯4065的顶视图。图8B是图8A中的下一阶段半导体管芯4065的沿着图8A中的As-As线的横截面侧视图。如图8A和8B所示,也可任选性地在第二介电层218¾上形成可以是金属硬掩模的硬掩模HM800。随后可在后续制造步骤中对硬掩模800进行图案化和蚀刻,以形成互连结构200的上覆金属线2101、2102参见图2A。[0049]图9A是在准备提供via开口2241图2B的图8A和8B中的半导体管芯4065上执行下一制造工艺的互连结构200的下一阶段半导体管芯4066的顶视图。。图9B是图9A中的半导体管芯4066的沿着图9A中的A9-A9线的横截面侧视图。如先前所讨论的,via开口2241图2B促成至互连结构200的上覆金属线2101和下垫金属线2081的自对准via2121的制造。如图9A和9B所示,可任选地在第二介电层2182上方提供光致抗蚀剂层PR900,其被曝光并用于形成via开口2241和相邻via开口2242。[0050]就此而言,在该示例中首先形成via开口宽度W2的开口9021、9022,以用于形成与相应下垫金属线2081、208⑵对准的via开口2241、224⑵的后续步骤(图3B中的框310。例如,如图9B所示,光致抗蚀剂层900可被布置在硬掩模800上方,以形成Via开口宽度I的开口9021、9022。例如,可以沉积工艺将光致抗蚀剂层900沉积在硬掩模800上方,以形成via开口宽度W2的开口902⑴、902⑵。在该示例中,由于在曝光工艺中曝光光致抗蚀剂层900从而形成开口9021、902⑵。如下文更详细讨论的,开口9〇21、902⑵被形成用于形成与相应的下垫金属线2081、208⑵对准的via开口2241和相邻via开口2242的后续步骤。如图9B所示,作为示例尤其关于下垫金属线2081,在其中将形成自对准via212⑴(图⑽的凹槽区域216⑴上对准via开口224⑴,从而与下垫金属线208⑴对准。[0051]此外,因为在该示例中硬掩模8〇〇被图案化并因此不是平坦的,所以在光致抗蚀剂层900被曝光之前,可任选地将底部抗反射涂层BARC层9041、9042布置在硬掩模800上方,如图9A和9B所示。在第二介电层2182上布置例如,沉积BARC层9041,以提供用于布置光致抗蚀剂层900并执行光刻处理步骤以形成开口9021、9022的基本上平坦的表面。如图9B所示,在该示例中BARC层9041被布置成使得相应的外涂层部分906在硬掩模800上方延伸距离D3。随后将光致抗蚀剂层900布置在BARC层9041、9042上,从而后续被曝光以形成如上所述的开口9021、9022,以用于形成Via开口224⑴和相邻via开口2242的后续步骤。[0052]图10A是在针对自对准via2121、2122图2B提供via开口2241和相邻via2242的图臥和册中的半导体管芯406上执行的下一制造工艺的互连结构200的下一阶段半导体管芯4〇6⑺的顶视图。图10B是图10A中的半导体管芯406⑺的沿着图10A中的Aio-Aio线的横截面侧视图。如图l〇B所解说,via开口宽度R的Via开口224⑴被形成与多条下垫金属线2〇81、2〇8⑵之中的下垫金属线2081对准且在光致抗蚀剂层9〇〇中,如果提供)图3B中的框31¾。在该示例中,向下蚀刻Via开口2241通过BARC层9041、第二介电层2182,并向下至在下垫金属线2081上的凹槽区域2161的底表面2261以及向下至停止层222在图3B中的框312。以此方式,Via开口2241与下垫金属线2081对准,使得形成在via开口2241中的自对准via2121将与下垫金属线2081自对准,以避免或减少与下垫金属线2081的via未对准。[0053]图11A是在图10A和10B中的半导体管芯406⑺上执行的下一制造工艺的互连结构200的下一阶段半导体管芯4068的顶视图,其中光致抗蚀剂层900和BARC层9041、904⑵被移除且沟槽开口11001、1100⑵与via开口224⑴和相邻via开口224⑵对准,以促成自对准¥132121、2122图28的制造。图118是图11八中的半导体管芯4068的沿着图11A中的An-Aii线的横截面侧视图。如图11B所解说,via开口宽度W2的via开口224⑴先前通过光致抗蚀剂层900中的开口9021而形成(图3B中的框312。然而,期望制备Via开口2¾1以接纳导电材料,从而形成与下塾金属线2〇81互连的自对准via2121。在该示例中,从凹槽区域2161移除停止层222,使得被布置在via开口2241中的导电材料被互连到下垫金属线2081。如果提供,则在围绕via开口2241形成沟槽开口11〇〇1之前移除光致抗蚀剂层900和或BARC层9041。随后在该示例中在via开口2241周围形成沟槽开口11001并蚀刻沟槽开口11001图3B中的框314。如果提供,则可使用硬掩模800在via开口M41周围形成沟槽开口11〇〇1。使用硬掩模8〇〇将沟槽开口1100丨)与上覆金属线2101对准。[0054]图12A是在图11A和11B中的半导体管芯406⑻上执行的下一制造工艺的互连结构200的下一阶段半导体管芯406⑼的顶视图,以促成与相应的上覆金属线2101、210⑵和下垫金属线2081、208⑵自对准的自对准via2121、2122。图12B是图12A中的半导体管芯406⑼的沿着图12A中的A^-M2线的横截面侧视图。如图12B所示,via开口224⑴和沟槽开口11001用导电材料1200向下填充至凹槽区域2161的底表面2261以形成自对准via212⑴(图3B中的框316。以此方式,通过将导电材料1200布置在via开口224⑴和己经与下垫金属线2〇81对准的凹槽区域2ie1中,所制造的自对准via2121就与下垫金属线20S1自对准。自对准via2121被互连到上覆金属线2101。此外,通过由填充在沟槽开口1100⑴中的导电材WUOO形成的自对准via2121,形成上覆金属线2101。作为示例,双镶嵌工艺可用于执行沟槽开口1100⑴和via开口2241的填充工艺以形成自对准via2121。用于填充图1以和12B中的半导体管芯4069中的沟槽开口1100⑴和Via开口2241以形成自对准via2121和上覆金属线2101的导电材料12〇〇可作为任何导电材料12〇0包括金属材料,诸如铜Cu来提供。然而,如上所述,使用非铜Cu金属(作为示例,诸如,钴Co、钌Ru和铝A1可以是有利的,因为它们是更容易回蚀的材料,并且能够更好地填充高纵横比的via开口2241和沟槽开口11001。[0055]此外,如图1¾所示,在该示例中,Via开口224⑴的via开口宽度W2被形成为大于凹槽区域2161的凹槽宽度W3,从而允许自对准via2121延伸超过凹槽区域2161的凹槽宽度%并延伸至凹槽区域2161的凹槽宽度W3之外。自对准via2121的第一via部分230⑴延伸至下垫金属线2081的凹槽区域2161中,以将下垫金属线2081电互连至上覆金属线2101。自对准via2121的第二via部分2302延伸至凹槽区域2161之外。该结构可提供自对准via2121与下垫金属线2081的改良的对准,因为对于给定制造工艺中可能出现的变化情况下将自对准via2121完全布置在凹槽区域2161中以提供与下垫金属线2081的顶表面的接触提供了更大的容限。因此,可放宽将自对准via2121布置在凹槽区域2161中的制造工艺。然而,将Via开口224⑴延伸至超过凹槽区域2161的凹槽宽度w3的via开口宽度此可使形成凹槽区域2161中的自对准Via2121置于更接近相邻下垫金属线208¾。这可能会冒着在自对准via2121和相邻的下垫金属线2082之间创生via金属短路的风险。[0056]因此,如图12B中进一步所示,在该示例中,相邻凹槽区域2162形成在与下垫金属线2081相邻的相邻下垫金属线2082中,以减少在自对准via2121和相邻下垫金属线2082之间via金属短路的风险。在相邻下垫金属线2082中提供相邻凹槽区域2162在自对准via2121和相邻下垫金属线2082之间提供比在相邻下垫金属线2082中没有形成相邻凹槽区域2162的情况更大的距离D2。例如,在该示例中相邻凹槽区域2162的凹槽宽度W3与凹槽区域2161的凹槽宽度W3相同,但这并不要求这样。这对于缩小互连结构储如互连结构200中的金属节距P而言可能特别重要,例如超越五⑸nm技术节点,其中互连金属线位于更靠近相邻金属线,在该情况下via的轻微未对准会导致via金属短路。这可允许互连结构200的金属节距被进一步减小,而没有via金属短路的风险。自对准Via2121与相邻下垫金属线2082之间的横向距离S1不再是自对准via2121与相邻下垫金属线208¾之间对于via金属短路的控制距离。在相邻下垫金属线2082中提供相邻凹槽区域2162还可允许在制造工艺期间放宽Via开口2241的着陆对准余量。这是因为自对准via2121与相邻下垫金属线2082之间的横向距离S1不再是自对准via2121与相邻下垫金属线2082之间对于via金属短路的控制距离。[0057]用于自对准via2121的制造步骤的上述示例也同样适用于自对准via2122或在互连结构200中制造的任何其他自对准Via。[OO58]包括包含与第一金属层中下垫金属线自对准的via以在上金属层中的下垫金属线与上覆金属线之间形成互连的互连结构的1C可被提供或集成到任何基于处理器的设备中。不构成限定的示例包括:机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、移动电话、蜂窝电话、智能电话、平板设备、平板手机、计算机、便携式计算机、台式计算机、个人数字助理PDA、监视器、计算机监视器、电视机、调谐器、无线电、卫星无线电、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频碟DVD播放器、便携式数字视频播放器、以及汽车。[0059]就此而言,图13解说了可包括ic13〇2的基于处理器的系统1300的示例,该1C13〇2可包括包含与第一金属层中下垫金属线自对准的via以在上金属层中的下垫金属线与上覆金属线之间形成互连的互连结构。可在基于处理器的系统1300中的任何组件中提供1C1302。在该示例中,基于处理器的系统1300包括处理器丨3〇4,其包括一个或多个CPU13060_13〇6⑼。处理器1:304可具有可由CPU13060-1306⑽可访问的共享高速缓冲存储器13〇8,以便快速访问临时存储的数据。[0060]处理器13〇4被耦合到系统总线1310,且可相互耦合被包括在基于处理器的系统13〇〇中的主设备和从设备。如所熟知地,处理器1304通过在系统总线1310上交换地址、控制和数据信息来与这些其它设备通信。尽管未在图13中解说,但可提供多个系统总线1310,其中每个系统总线1310构成不同的织构。例如,处理器丨304可向作为从设备的示例的存储器系统1312传达总线事务请求。存储器系统1312可以包括控制对存储器阵列1316的访问的存储器控制器1314。[0061]其他主设备和从设备可被连接到系统总线1310。如图13中所解说的,这些设备可以包括存储器系统1312、一个或多个输入设备1320、一个或多个输出设备1322、一个或多个网络接口设备1324、以及一个或多个显示控制器1326。(诸输入设备1320可以包括任何类型的输入设备,包括但不限于输入键、开关、语音处理器等。(诸输出设备1322可以包括任何类型的输出设备,包括但不限于音频、视频、其他视觉指示器等。(诸)网络接口设备1324可以是被配置成允许往来于网络1328的数据交换的任何设备。网络1328可以是任何类型的网络,包括但不限于有线或无线网络、私有或公共网络、局域网(LAN、无线局域网WLAN、广域网WAN、蓝牙™网络、和因特网。(诸)网络接口设备1324可以被配置成支持所期望的任何类型的通信协议。[0062]处理器13〇4还可被配置成通过系统总线1310访问(诸)显示控制器1326以控制发送给一个或多个显示器1330的信息。(诸)显示器控制器1326经由一个或多个视频处理器1332向(诸)显示器133〇发送要显示的信息,该视频处理器1332将要显示的信息处理成适用于诸)显示器1330的格式。(诸)显示器1330可包括任何类型的显示器,包括但不限于阴极射线管CRT、液晶显示器LCD、等离子显示器等。[0063]本领域技术人员将进一步领会,结合本文所公开的诸方面描述的各种解说性逻辑块、模块、电路和算法可被实现为电子硬件、存储在存储器中或另一计算机可读介质中并由处理器或其它处理设备执行的指令、或这两者的组合。作为示例,本文描述的主设备和从设备可用在任何电路、硬件组件、集成电路1C、或1C芯片中。本文中所公开的存储器可以是任何类型和大小的存储器,且可配置成存储所需的任何类型的信息。为清楚地解说这种可互换性,各种解说性组件、框、模块、电路和步骤在上文已经以其功能性的形式一般性地作了描述。此类功能性如何被实现取决于具体应用、设计选择、和或加诸于整体系统上的设计约束。技术人员可针对每种特定应用以不同方式来实现所描述的功能性,但此类实现决策不应被解读为致使脱离本公开的范围。[00M]结合本文中所公开的各方面描述的各种解说性逻辑块、模块、以及电路可用设计成执行本文中描述的功能的处理器、数字信号处理器DSP、专用集成电路ASIC、现场可编程门阵列FPGA或其他可编程逻辑器件、分立的门或晶体管逻辑、分立的硬件组件、或其任何组合来实现或执行。处理器可以是微处理器,但在替换方案中,处理器可以是任何处理器、控制器、微控制器或状态机。处理器还可以被实现为计算设备的组合,例如,DSP与微处理器的组合、多个微处理器、与DSP核心协同的一个或多个微处理器、或任何其他此类配置。[0065]本文所公开的各方面可被体现为硬件和存储在硬件中的指令,并且可驻留在例如随机存取存储器RAM、闪存、只读存储器ROM、电可编程ROMEPROM、电可擦可编程ROMEEPR0M、寄存器、硬盘、可移动盘、CD-ROM、或本领域中所知的任何其它形式的计算机可读介质中。示例性存储介质被耦合到处理器,以使得处理器能从向该存储介质读取信息和写入信息。替换地,存储介质可以被整合到处理器。处理器和存储介质可驻留在ASIC中。ASIC可驻留在远程站中。在替换方案中,处理器和存储介质可作为分立组件驻留在远程站、基站或服务器中。[0066]还注意到,本文任何示例性方面中描述的操作步骤是为了提供示例和讨论而被描述的。所描述的操作可按除了所解说的顺序之外的众多不同顺序来执行。此外,在单个操作步骤中描述的操作实际上可在数个不同步骤中执行。另外,示例性方面中讨论的一个或多个操作步骤可被组合。应理解,如对本领域技术人员显而易见地,在流程图中解说的操作步骤可进行众多不同的修改。本领域技术人员还将理解,可使用各种不同技术和技艺中的任何一种来表示信息和信号。例如,贯穿上面说明始终可能被述及的数据、指令、命令、信息、信号、比特、码元和码片可由电压、电流、电磁波、磁场或磁粒子、光场或光粒子、或其任何组合来表不。[0067]提供对本公开的先前描述是为使得本领域任何技术人员皆能够制作或使用本公开。对本公开的各种修改对本领域技术人员而言将容易是显而易见的,并且本文中所定义的普适原理可被应用到其他变型而不会脱离本公开的精神或范围。由此,本公开并非旨在被限定于本文中所描述的示例和设计,而是应被授予与本文中所公开的原理和新颖特征一致的最广义的范围。

权利要求:1.一种用于集成电路1C的互连结构,包括:下垫金属层,包括:被布置在具有顶表面的介电层中的多条下垫金属线;以及具有凹槽宽度的凹槽区域,其被布置在所述介电层中在所述介电层的所述顶表面下方向下凹槽距离至所述多条下垫金属线之中的下垫金属线;被布置在所述下垫金属层上方的上覆金属层,所述上覆金属层包括多条上覆金属线;以及具有垂直互连通道开口宽度的垂直互连通道,其被布置在所述下垫金属层和所述上覆金属层之间且将所述下垫金属线与所述多条上覆金属线之中的上覆金属线电互连,其中所述自对准垂直互连通道包括:所述自对准垂直互连通道的第一垂直互连通道部分,其延伸至所述下垫金属线的相应凹槽区域中以与所述下垫金属线自对准;以及所述自对准垂直互连通道的第二垂直互连通道部分,其在所述凹槽区域之外延伸至所述垂直互连通道开口宽度的。2.如权利要求1所述的互连结构,其特征在于,所述自对准垂直互连通道的第二垂直互连通道部分具有大于所述凹槽区域的凹槽宽度的宽度。3.如权利要求1所述的互连结构,其特征在于,所述多条下垫金属线进一步包括与所述下垫金属线相邻的相邻下垫金属线;以及进一步包括相邻凹槽区域,其被布置在所述介电层中在所述介电层的所述顶表面下方向下所述凹槽距离至所述相邻下垫金属线。4.如权利要求3所述的互连结构,其特征在于,所述相邻凹槽区域通过在所述介电层的顶表面下方移除所述相邻下垫金属线的具有所述凹陷距离的一部分而形成。5.如权利要求3所述的互连结构,其特征在于,所述相邻下垫金属线的所述凹槽距离是所述多条下垫金属线的金属节距的至少约二分之一12。6.如权利要求1所述的互连结构,其特征在于,所述凹槽区域通过在所述介电层的顶表面下方移除所述下垫金属线的具有所述凹陷距离的一部分而形成。7.如权利要求1所述的互连结构,其特征在于,所述下垫金属线的所述凹槽距离是所述多条下垫金属线的金属节距的至少约二分之一12。8.如权利要求1所述的互连结构,其特征在于,所述多条下垫金属线由从包括钴C〇、钌Ru和铝A1的组中选择的金属材料形成。9.如权利要求1所述的互连结构,其特征在于,所述多条下垫金属线的金属节距小于或等于五十六56纳米nm。10.如权利要求1所述的互连结构,其特征在于,所述多条下垫金属线的金属节距小于或等于二十八28纳米nm。11.如权利要求1所述的互连结构,其特征在于,所述多条下垫金属线的金属节距小于或等于五⑸纳米nm。12.如权利要求3所述的互连结构,其特征在于,进一步包括被布置在所述相邻凹槽区域中的停止层。13.如权利要求12所述的互连结构,其特征在于,所述停止层包括蚀刻停止层。14.如权利要求13所述的互连结构,其特征在于,所述蚀刻停止层包括氮化铝A1N。15.如权利要求13所述的互连结构,其特征在于,所述蚀刻停止层的厚度小于或等于二⑵纳米nm。16.如权利要求1所述的互连结构,其特征在于,进一步包括被布置在所述下垫金属线和所述上覆金属线之间的第二介电层;其中所述自对准垂直互连通道被布置通过所述下垫金属线和所述上覆金属线之间的所述第二介电层。17.如权利要求1所述的互连结构,其特征在于,所述互连结构被集成到所述1C中。18.如权利要求1所述的互连结构,其特征在于,所述互连结构被集成到从由以下各项构成的组中选择的设备中:机顶盒;娱乐单元;导航设备;通信设备;固定位置数据单元;移动位置数据单元;移动电话;蜂窝电话;智能电话;平板设备;平板手机;计算机;便携式计算机;台式计算机;个人数字助理PDA;监视器;计算机监视器;电视机;调谐器;无线电;卫星无线电;音乐播放器;数字音乐播放器;便携式音乐播放器;数字视频播放器;视频播放器;数字视频碟DVD播放器;便携式数字视频播放器;以及汽车。19.一种在用于集成电路1C的互连结构中形成自对准垂直互连通道的方法,包括:在具有顶表面的第一介电层中形成具有金属线节距的多条下垫金属线;在所述第一介电层的所述顶表面下方移除所述多条下垫金属线之中的下垫金属线的具有凹槽距离的一部分,以在所述第一介电层中形成具有与所述下塾金属线相邻的底表面的具有凹槽宽度的凹槽区域;在所述第一介电层的所述顶表面上和所述凹槽区域的所述底表面上布置停止层;在所述停止层上方和所述凹槽区域内布置第二介电层;在所述第二介电层中向下至所述凹槽区域的所述底表面以及至所述停止层形成与在所述多条下垫金属线之中的所述下垫金属线对准的垂直互连通道开口,所述垂直互连通道开口所具有的垂直互连通道开口宽度大于所述凹槽宽度;形成与所述垂直互连通道开口对准的沟槽开口;以及用导电材料填充所述垂直互连通道开口和所述沟槽开口向下至所述凹槽区域的所述底表面,以在所述沟槽开口和所述垂直互连通道开口中形成与所述下垫金属线自对准的自对准垂直互连通道,使得所述自对准垂直互连通道的第一垂直互连通道部分延伸至所述下垫金属线的相应凹槽区域中以与所述下垫金属线自对准,并且所述自对准垂直互连通道的第二垂直互连通道部分所述凹槽区域之外延伸至所述垂直互连通道开口宽度。20.如权利要求19所述的方法,其特征在于,填充所述垂直互连通道开口和所述沟槽开口进一步包括在所述沟槽开口中形成多条上覆金属线之中的上覆金属线,使得所述自对准垂直互连通道将所述上覆金属线与所述下垫金属线互连。21.如权利要求19所述的方法,其特征在于,布置所述停止层包括在所述第一介电层的顶表面上和所述凹槽区域的所述底表面上布置蚀刻停止层。22.如权利要求21所述的方法,其特征在于,形成所述垂直互连通道开口包括将所述垂直互连通道开口向下蚀刻通过所述第二介电层至所述凹槽区域的所述底表面以及至所述蚀刻停止层。23.如权利要求19所述的方法,其特征在于,形成与所述垂直互连通道开口对准的所述沟槽开口包括蚀刻与所述垂直互连通道开口对准的所述沟槽开口。24.如权利要求19所述的方法,其特征在于,进一步包括在所述第二介电层中形成所述垂直互连通道开口之前在所述第二介电层上形成光致抗蚀剂层。25.如权利要求24所述的方法,其特征在于,进一步包括在形成与所述垂直互连通道开口对准的所述沟槽开口之前,移除所述光致抗蚀剂层。26.如权利要求24所述的方法,其特征在于,进一步包括在所述第二介电层上形成所述光致抗蚀剂层之前,在所述第二介电层上布置底部抗反射涂层BARC层。27.如权利要求26所述的方法,其特征在于,进一步包括在形成与所述垂直互连通道开口对准的所述沟槽开口之前,移除所述BARC层。28.如权利要求19所述的方法,其特征在于,进一步包括在形成所述垂直互连通道开口之后,移除所述凹槽区域中的所述停止层。29.如权利要求19所述的方法,其特征在于,进一步包括经由双镶嵌工艺填充所述垂直互连通道开口和所述沟槽开口。30.如权利要求19所述的方法,其特征在于,布置所述第二介电层进一步包括将所述第二介电层布置到所述凹槽区域的所述底表面。31.如权利要求19所述的方法,其特征在于,进一步包括:在所述第一介电层的所述顶表面下方移除所述多条下垫金属线之中与所述下垫金属线相邻的相邻下垫金属线的具有相邻凹槽距离的一部分,以在所述第一介电层中形成具有与所述相邻下垫金属线相邻的底表面的具有相邻凹槽宽度的相邻凹槽区域。32.如权利要求31所述的方法,其特征在于,进一步包括将所述停止层布置在所述相邻凹槽区域的所述底表面上。33.如权利要求31所述的方法,其特征在于,进一步包括将所述第二介电层布置在所述相邻凹槽区域内。

百度查询: 高通股份有限公司 在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(VIA)

免责声明
1、本报告根据公开、合法渠道获得相关数据和信息,力求客观、公正,但并不保证数据的最终完整性和准确性。
2、报告中的分析和结论仅反映本公司于发布本报告当日的职业理解,仅供参考使用,不能作为本公司承担任何法律责任的依据或者凭证。

相关技术
相关技术
相关技术
相关技术